changeset 43:4dfea217989b

Add a test for While.
author Bastien Orivel <eijebong@bananium.fr>
date Tue, 07 Jun 2016 11:09:35 +0200
parents 6c5534d1d014
children 5f1d285471af
files tests/test_parse_files/test_while.py
diffstat 1 files changed, 4 insertions(+), 0 deletions(-) [+]
line wrap: on
line diff
new file mode 100644
--- /dev/null
+++ b/tests/test_parse_files/test_while.py
@@ -0,0 +1,4 @@
+while i < 5:
+    print(i)
+else:
+    print("he")